order_bg

zvigadzirwa

Yakabatanidzwa yedunhu IC machipisi nzvimbo imwe tenga EPM240T100C5N IC CPLD 192MC 4.7NS 100TQFP

tsananguro pfupi:


Product Detail

Product Tags

Product Attributes

TYPE DESCRIPTION
Category Integrated Circuits (ICs)  Embedded  CPLDs (Complex Programmable Logic Devices)
Mfr Intel
Series MAX® II
Package Tray
Standard Package 90
Product Status Active
Programmable Type MuSystem Programmable
Kunonoka Nguva tpd(1) Max 4.7 ns
Voltage Supply – Mukati 2.5V, 3.3V
Nhamba yeLogic Elements/Blocks 240
Nhamba yeMacrocells 192
Nhamba yeI/O 80
Operating Temperature 0°C ~ 85°C (TJ)
Mounting Type Surface Mount
Package / Nyaya 100-TQFP
Supplier Device Package 100-TQFP (14×14)
Base Product Number EPM240

Mutengo uyu wanga uri imwe yematambudziko makuru akatarisana ne3D akaiswa machipisi, uye Foveros ichave nguva yekutanga Intel yaaburitsa muvhoriyamu yakakwira nekuda kweiyo inotungamira yekurongedza tekinoroji.Intel, zvisinei, inotaura kuti machipi anogadzirwa mu3D Foveros mapakeji akanyanya mutengo kukwikwidza neyakajairwa chip dhizaini - uye mune dzimwe nguva inogona kunge yakachipa.

Intel yakagadzira iyo Foveros chip kuti ive yakaderera-mutengo sezvinobvira uye ichiri kusangana nekambani yakataurwa zvinangwa zvekuita - ndiyo yakachipa chip muMeteor Lake package.Intel haisati yagovera kumhanya kweFoveros interconnect / base tile asi yakataura kuti zvikamu zvinogona kumhanya pane mashoma GHz 'mukugadzika kwekugadziriswa (chirevo chinoreva kuvepo kweiyo inoshanda vhezheni yepakati yepakati Intel yave kutogadzira. )Nekudaro, Foveros haidi kuti mugadziri akanganisa pane bandwidth kana latency constraints.

Intel inotarisirawo kuti dhizaini yacho ichakwira zvakanaka maererano nekuita uye mutengo, zvichireva kuti inogona kupa dhizaini yakasarudzika yemamwe zvikamu zvemusika, kana akasiyana emhando yepamusoro-yekuita.

Mutengo wemanodhi epamberi pa transistor uri kukura zvakanyanya sezvo silicon chip maitirwo anosvika kumiganhu yavo.Uye kugadzira ma IP modules matsva (akadai seI/O mainterfaces) emanodhi madiki haapi kudzoka kwakawanda pakudyara.Naizvozvo, kushandisa zvakare asiri-akakosha matiles/chiplets pane 'akanaka zvakakwana' aripo node anogona kuchengetedza nguva, mutengo, uye zviwanikwa zvekusimudzira, tisingataure kurerutsa maitiro ekuyedza.

Kune imwechete machipi, Intel inofanirwa kuyedza akasiyana chip zvinhu, senge ndangariro kana PCIe interfaces, mukutevedzana, inogona kuve inopedza nguva maitiro.Mukupesana, vanogadzira chip vanogona zvakare kuyedza machipisi madiki panguva imwe chete kuchengetedza nguva.mavhavha anewo mukana mukugadzira machipisi emhando yeTDP chaiyo, sezvo vagadziri vanogona kugadzirisa machipisi madiki akasiyana kuti aenderane nezvavanoda dhizaini.

Mazhinji emapoinzi aya anonzwika seakajairwa, uye iwo ese akafanana zvinhu zvakatungamira AMD pasi chipset nzira muna 2017. AMD yakanga isiri yekutanga kushandisa chipset-based madhizaini, asi yaive yekutanga mugadziri mukuru kushandisa iyi dhizaini huzivi gadzira machipisi emazuva ano, chimwe chinhu Intel chinoita sechasvika pakunonoka.Nekudaro, Intel's yakatsanangurwa 3D yekurongedza tekinoroji yakanyanya kuomarara kupfuura AMD's organic intermediary layer-based dhizaini, ine zvese zvakanakira uye zvazvakaipira.

 图片1

Musiyano unozopedzisira waratidzwa mumachipisi apera, neIntel ichiti iyo itsva 3D yakarongedzerwa chip Meteor Lake inotarisirwa kuwanikwa muna 2023, neArrow Lake neLunar Lake ichiuya muna 2024.

Intel yakataurawo kuti Ponte Vecchio supercomputer chip, iyo ichange iine anopfuura bhiriyoni zana transistors, inotarisirwa kunge iri pamwoyo weAurora, iyo inokurumidza kukurumidza pasirese komputa.


  • Zvakapfuura:
  • Zvinotevera:

  • Nyora meseji yako pano ugotitumira